summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--runtime/filetype.vim5
-rw-r--r--src/testdir/test_filetype.vim3
-rw-r--r--src/version.c2
3 files changed, 10 insertions, 0 deletions
diff --git a/runtime/filetype.vim b/runtime/filetype.vim
index 797c73a6af..50de286240 100644
--- a/runtime/filetype.vim
+++ b/runtime/filetype.vim
@@ -2091,6 +2091,11 @@ au BufNewFile,BufRead */.config/upstart/*.override setf upstart
" Vala
au BufNewFile,BufRead *.vala setf vala
+" VDM
+au BufRead,BufNewFile *.vdmpp,*.vpp setf vdmpp
+au BufRead,BufNewFile *.vdmrt setf vdmrt
+au BufRead,BufNewFile *.vdmsl,*.vdm setf vdmsl
+
" Vera
au BufNewFile,BufRead *.vr,*.vri,*.vrh setf vera
diff --git a/src/testdir/test_filetype.vim b/src/testdir/test_filetype.vim
index 4e69175d88..4986b4418d 100644
--- a/src/testdir/test_filetype.vim
+++ b/src/testdir/test_filetype.vim
@@ -589,6 +589,9 @@ let s:filename_checks = {
\ 'usw2kagtlog': ['usw2kagt.log', 'USW2KAGT.LOG', 'usw2kagt.file.log', 'USW2KAGT.FILE.LOG', 'file.usw2kagt.log', 'FILE.USW2KAGT.LOG'],
\ 'vala': ['file.vala'],
\ 'vb': ['file.sba', 'file.vb', 'file.vbs', 'file.dsm', 'file.ctl'],
+ \ 'vdmpp': ['file.vpp', 'file.vdmpp'],
+ \ 'vdmrt': ['file.vdmrt'],
+ \ 'vdmsl': ['file.vdm', 'file.vdmsl'],
\ 'vera': ['file.vr', 'file.vri', 'file.vrh'],
\ 'verilog': ['file.v'],
\ 'verilogams': ['file.va', 'file.vams'],
diff --git a/src/version.c b/src/version.c
index 60a5e7ec7c..b1eb73f861 100644
--- a/src/version.c
+++ b/src/version.c
@@ -708,6 +708,8 @@ static char *(features[]) =
static int included_patches[] =
{ /* Add new patch number below this line */
/**/
+ 314,
+/**/
313,
/**/
312,