summaryrefslogtreecommitdiffstats
path: root/runtime/indent/verilog.vim
AgeCommit message (Expand)Author
2023-08-28runtime: Set b:undo_indent where missing (#12944)dkearns
2021-09-09Update runtime filesBram Moolenaar
2017-08-27Update runtime filesBram Moolenaar
2017-03-05Runtime file updates.Bram Moolenaar
2011-12-14Update runtime files.Bram Moolenaar
2004-06-13updated for version 7.0001v7.0001Bram Moolenaar