summaryrefslogtreecommitdiffstats
path: root/runtime/ftplugin/verilog.vim
diff options
context:
space:
mode:
Diffstat (limited to 'runtime/ftplugin/verilog.vim')
-rw-r--r--runtime/ftplugin/verilog.vim12
1 files changed, 9 insertions, 3 deletions
diff --git a/runtime/ftplugin/verilog.vim b/runtime/ftplugin/verilog.vim
index 1d37d601ac..6594f2ea90 100644
--- a/runtime/ftplugin/verilog.vim
+++ b/runtime/ftplugin/verilog.vim
@@ -1,7 +1,7 @@
" Vim filetype plugin file
" Language: Verilog HDL
" Maintainer: Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw>
-" Last Change: Mon Sep 5 11:05:54 CST 2005 and 2006 April 30
+" Last Change: Wed Sep 3 15:24:49 CST 2008
" URL: http://larc.ee.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim
" Only do this when not done yet for this buffer
@@ -12,6 +12,10 @@ endif
" Don't load another plugin for this buffer
let b:did_ftplugin = 1
+" Set 'cpoptions' to allow line continuations
+let s:cpo_save = &cpo
+set cpo&vim
+
" Undo the plugin effect
let b:undo_ftplugin = "setlocal fo< com< tw<"
\ . "| unlet! b:browsefilter b:match_ignorecase b:match_words"
@@ -28,8 +32,6 @@ if &textwidth == 0
setlocal tw=78
endif
-set cpo-=C
-
" Win32 can filter files in the browse dialog
if has("gui_win32") && !exists("b:browsefilter")
let b:browsefilter = "Verilog Source Files (*.v)\t*.v\n" .
@@ -49,3 +51,7 @@ if exists("loaded_matchit")
\ '\<task\>:\<endtask\>,' .
\ '\<specify\>:\<endspecify\>'
endif
+
+" Reset 'cpoptions' back to the user's setting
+let &cpo = s:cpo_save
+unlet s:cpo_save