summaryrefslogtreecommitdiffstats
path: root/runtime/indent/cdl.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
committerBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
commitcbaff5e06ec525d31dc44093125c42029e01d508 (patch)
treecf90237ea61147e5cf690a9db7f36741fd3aa0a5 /runtime/indent/cdl.vim
parenta8034a4886843fbf10bd59a6f55ec723da515b8e (diff)
Update runtime files
Diffstat (limited to 'runtime/indent/cdl.vim')
-rw-r--r--runtime/indent/cdl.vim4
1 files changed, 3 insertions, 1 deletions
diff --git a/runtime/indent/cdl.vim b/runtime/indent/cdl.vim
index 0e3c6152b0..2c0fc7988e 100644
--- a/runtime/indent/cdl.vim
+++ b/runtime/indent/cdl.vim
@@ -1,7 +1,7 @@
" Description: Comshare Dimension Definition Language (CDL)
" Maintainer: Raul Segura Acevedo <raulseguraaceved@netscape.net> (Invalid email address)
" Doug Kearns <dougkearns@gmail.com>
-" Last Change: Fri Nov 30 13:35:48 2001 CST
+" Last Change: 2022 Apr 06
if exists("b:did_indent")
"finish
@@ -12,6 +12,8 @@ setlocal indentexpr=CdlGetIndent(v:lnum)
setlocal indentkeys&
setlocal indentkeys+==~else,=~endif,=~then,;,),=
+let b:undo_indent = "setl inde< indk<"
+
" Only define the function once.
if exists("*CdlGetIndent")
"finish