summaryrefslogtreecommitdiffstats
path: root/runtime/indent/vb.vim
diff options
context:
space:
mode:
Diffstat (limited to 'runtime/indent/vb.vim')
-rw-r--r--runtime/indent/vb.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/vb.vim b/runtime/indent/vb.vim
index b570b56b85..85021bd7d9 100644
--- a/runtime/indent/vb.vim
+++ b/runtime/indent/vb.vim
@@ -8,10 +8,13 @@ if exists("b:did_indent")
endif
let b:did_indent = 1
+setlocal autoindent
setlocal indentexpr=VbGetIndent(v:lnum)
setlocal indentkeys&
setlocal indentkeys+==~else,=~elseif,=~end,=~wend,=~case,=~next,=~select,=~loop,<:>
+let b:undo_indent = "set ai< indentexpr< indentkeys<"
+
" Only define the function once.
if exists("*VbGetIndent")
finish