summaryrefslogtreecommitdiffstats
path: root/runtime/indent/vb.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2005-03-28 20:58:01 +0000
committerBram Moolenaar <Bram@vim.org>2005-03-28 20:58:01 +0000
commit582fd85b02e50b2aba025ff522c04a2293a45985 (patch)
tree3b721443d775ab6903fd8ff758f432046ee6b3cc /runtime/indent/vb.vim
parent8b879e7fe8d02b59df4c39442c53b37ccd38b50c (diff)
updated for version 7.0065
Diffstat (limited to 'runtime/indent/vb.vim')
-rw-r--r--runtime/indent/vb.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/vb.vim b/runtime/indent/vb.vim
index b570b56b85..85021bd7d9 100644
--- a/runtime/indent/vb.vim
+++ b/runtime/indent/vb.vim
@@ -8,10 +8,13 @@ if exists("b:did_indent")
endif
let b:did_indent = 1
+setlocal autoindent
setlocal indentexpr=VbGetIndent(v:lnum)
setlocal indentkeys&
setlocal indentkeys+==~else,=~elseif,=~end,=~wend,=~case,=~next,=~select,=~loop,<:>
+let b:undo_indent = "set ai< indentexpr< indentkeys<"
+
" Only define the function once.
if exists("*VbGetIndent")
finish