summaryrefslogtreecommitdiffstats
path: root/runtime/indent/prolog.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
committerBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
commitcbaff5e06ec525d31dc44093125c42029e01d508 (patch)
treecf90237ea61147e5cf690a9db7f36741fd3aa0a5 /runtime/indent/prolog.vim
parenta8034a4886843fbf10bd59a6f55ec723da515b8e (diff)
Update runtime files
Diffstat (limited to 'runtime/indent/prolog.vim')
-rw-r--r--runtime/indent/prolog.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/prolog.vim b/runtime/indent/prolog.vim
index ac03c28064..0c4fd541f9 100644
--- a/runtime/indent/prolog.vim
+++ b/runtime/indent/prolog.vim
@@ -4,6 +4,7 @@
" Doug Kearns <dougkearns@gmail.com>
" Revised on: 2002.02.18. 23:34:05
" Last change by: Takuya Fujiwara, 2018 Sep 23
+" 2022 April: b:undo_indent added by Doug Kearns
" TODO:
" checking with respect to syntax highlighting
@@ -21,6 +22,8 @@ setlocal indentexpr=GetPrologIndent()
setlocal indentkeys-=:,0#
setlocal indentkeys+=0%,-,0;,>,0)
+let b:undo_indent = "setl inde< indk<"
+
" Only define the function once.
"if exists("*GetPrologIndent")
" finish