summaryrefslogtreecommitdiffstats
path: root/runtime/indent/mma.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
committerBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
commitcbaff5e06ec525d31dc44093125c42029e01d508 (patch)
treecf90237ea61147e5cf690a9db7f36741fd3aa0a5 /runtime/indent/mma.vim
parenta8034a4886843fbf10bd59a6f55ec723da515b8e (diff)
Update runtime files
Diffstat (limited to 'runtime/indent/mma.vim')
-rw-r--r--runtime/indent/mma.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/mma.vim b/runtime/indent/mma.vim
index ebf98b9a38..9dbfd74d66 100644
--- a/runtime/indent/mma.vim
+++ b/runtime/indent/mma.vim
@@ -3,6 +3,7 @@
" Maintainer: Steve Layland <layland@wolfram.com> (Invalid email address)
" Doug Kearns <dougkearns@gmail.com>
" Last Change: Sat May 10 18:56:22 CDT 2005
+" 2022 April: b:undo_indent added by Doug Kearns
" Source: http://vim.sourceforge.net/scripts/script.php?script_id=1274
" http://members.wolfram.com/layland/vim/indent/mma.vim
"
@@ -26,6 +27,8 @@ setlocal indentexpr=GetMmaIndent()
setlocal indentkeys+=0[,0],0(,0)
setlocal nosi "turn off smart indent so we don't over analyze } blocks
+let b:undo_indent = "setl inde< indk< si<"
+
if exists("*GetMmaIndent")
finish
endif