summaryrefslogtreecommitdiffstats
path: root/runtime/indent/logtalk.vim
diff options
context:
space:
mode:
authordkearns <dougkearns@gmail.com>2023-08-29 05:32:59 +1000
committerGitHub <noreply@github.com>2023-08-28 21:32:59 +0200
commit0382f05dbd659d8e39ee4e71c1e5062ac5c0a8fd (patch)
tree52aff35e851eab18daca3d8b27d86d8ca1e3c5a2 /runtime/indent/logtalk.vim
parentf937ab32a1ac3a560f217ca4ce8305ab2d5b0b74 (diff)
runtime: Set b:undo_indent where missing (#12944)
Signed-off-by: Christian Brabandt <cb@256bit.org>
Diffstat (limited to 'runtime/indent/logtalk.vim')
-rw-r--r--runtime/indent/logtalk.vim3
1 files changed, 3 insertions, 0 deletions
diff --git a/runtime/indent/logtalk.vim b/runtime/indent/logtalk.vim
index 8e36f86115..f7a8b0387c 100644
--- a/runtime/indent/logtalk.vim
+++ b/runtime/indent/logtalk.vim
@@ -1,5 +1,6 @@
" Maintainer: Paulo Moura <pmoura@logtalk.org>
" Revised on: 2018.08.04
+" 2023 Aug 28 by Vim Project (undo_indent)
" Language: Logtalk
" This Logtalk indent file is a modified version of the Prolog
@@ -16,6 +17,8 @@ setlocal indentexpr=GetLogtalkIndent()
setlocal indentkeys-=:,0#
setlocal indentkeys+=0%,-,0;,>,0)
+let b:undo_indent = "setlocal indentexpr< indentkeys<"
+
" Only define the function once.
if exists("*GetLogtalkIndent")
finish