summaryrefslogtreecommitdiffstats
path: root/runtime/indent/idlang.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
committerBram Moolenaar <Bram@vim.org>2022-04-08 17:45:08 +0100
commitcbaff5e06ec525d31dc44093125c42029e01d508 (patch)
treecf90237ea61147e5cf690a9db7f36741fd3aa0a5 /runtime/indent/idlang.vim
parenta8034a4886843fbf10bd59a6f55ec723da515b8e (diff)
Update runtime files
Diffstat (limited to 'runtime/indent/idlang.vim')
-rw-r--r--runtime/indent/idlang.vim4
1 files changed, 3 insertions, 1 deletions
diff --git a/runtime/indent/idlang.vim b/runtime/indent/idlang.vim
index e6a1d73775..1519865ab5 100644
--- a/runtime/indent/idlang.vim
+++ b/runtime/indent/idlang.vim
@@ -2,7 +2,7 @@
" Language: IDL (ft=idlang)
" Maintainer: Aleksandar Jelenak <ajelenak AT yahoo.com> (Invalid email address)
" Doug Kearns <dougkearns@gmail.com>
-" Last change: 2017 Jun 13
+" Last change: 2022 Apr 06
" Only load this indent file when no other was loaded.
if exists("b:did_indent")
@@ -14,6 +14,8 @@ setlocal indentkeys=o,O,0=endif,0=ENDIF,0=endelse,0=ENDELSE,0=endwhile,0=ENDWHIL
setlocal indentexpr=GetIdlangIndent(v:lnum)
+let b:undo_indent = "setl inde< indk<"
+
" Only define the function once.
if exists("*GetIdlangIndent")
finish