summaryrefslogtreecommitdiffstats
path: root/runtime/indent/changelog.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2005-03-28 21:02:15 +0000
committerBram Moolenaar <Bram@vim.org>2005-03-28 21:02:15 +0000
commitb982ca5c882ff0aaee6c32f6be9a80084b774870 (patch)
tree12f127319e2940df2bf7279e58f16a4401f1a07b /runtime/indent/changelog.vim
parent582fd85b02e50b2aba025ff522c04a2293a45985 (diff)
updated for version 7.0065v7.0065
Diffstat (limited to 'runtime/indent/changelog.vim')
-rw-r--r--runtime/indent/changelog.vim15
1 files changed, 15 insertions, 0 deletions
diff --git a/runtime/indent/changelog.vim b/runtime/indent/changelog.vim
new file mode 100644
index 0000000000..3aa8e0300d
--- /dev/null
+++ b/runtime/indent/changelog.vim
@@ -0,0 +1,15 @@
+" Vim indent file
+" Language: generic Changelog file
+" Language: C
+" Maintainer: noone
+" Last Change: 2005 Mar 28
+
+" Only load this indent file when no other was loaded.
+if exists("b:did_indent")
+ finish
+endif
+let b:did_indent = 1
+
+setlocal ai
+
+let b:undo_indent = "setl ai<"