summaryrefslogtreecommitdiffstats
path: root/runtime/indent/changelog.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2005-04-15 21:13:42 +0000
committerBram Moolenaar <Bram@vim.org>2005-04-15 21:13:42 +0000
commit13fcaaf1954e9f0d5aa53a55084e01b2c2741202 (patch)
tree526724d830562d07e6ecb2cde83aa8323070ae15 /runtime/indent/changelog.vim
parent402d2fea7025356c7abcb891017a1b7ddf99cbbf (diff)
updated for version 7.0066v7.0066
Diffstat (limited to 'runtime/indent/changelog.vim')
-rw-r--r--runtime/indent/changelog.vim3
1 files changed, 1 insertions, 2 deletions
diff --git a/runtime/indent/changelog.vim b/runtime/indent/changelog.vim
index 3aa8e0300d..522c64d97f 100644
--- a/runtime/indent/changelog.vim
+++ b/runtime/indent/changelog.vim
@@ -1,8 +1,7 @@
" Vim indent file
" Language: generic Changelog file
-" Language: C
" Maintainer: noone
-" Last Change: 2005 Mar 28
+" Last Change: 2005 Mar 29
" Only load this indent file when no other was loaded.
if exists("b:did_indent")