summaryrefslogtreecommitdiffstats
path: root/pkgs
diff options
context:
space:
mode:
authorLinus Heckemann <git@sphalerite.org>2019-08-23 17:27:39 +0200
committerLinus Heckemann <git@sphalerite.org>2019-08-23 17:27:39 +0200
commitd1d602f559e7d5d34268a1c5e2d9f39896ee767c (patch)
tree521e54a298694880bd5f0bec30595ca67db19f49 /pkgs
parent9ae11067b83aacd1a51ca1805a794a93e46fb91a (diff)
parent54f385241e6649128ba963c10314942d73245479 (diff)
Merge remote-tracking branch 'origin/master' into openssl-1.1
Diffstat (limited to 'pkgs')
-rw-r--r--pkgs/applications/graphics/avocode/default.nix7
-rw-r--r--pkgs/applications/misc/omegat.nix6
-rw-r--r--pkgs/applications/networking/nextcloud-client/0001-Explicitly-copy-dbus-files-into-the-store-dir.patch25
-rw-r--r--pkgs/applications/networking/nextcloud-client/default.nix20
-rw-r--r--pkgs/applications/networking/seafile-client/default.nix15
-rw-r--r--pkgs/applications/science/logic/symbiyosys/default.nix4
-rw-r--r--pkgs/data/fonts/font-awesome-5/default.nix4
-rw-r--r--pkgs/data/misc/osinfo-db/default.nix4
-rw-r--r--pkgs/development/compilers/nextpnr/default.nix53
-rw-r--r--pkgs/development/compilers/yosys/default.nix18
-rw-r--r--pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix27
-rw-r--r--pkgs/development/haskell-modules/configuration-hackage2nix.yaml42
-rw-r--r--pkgs/development/haskell-modules/hackage-packages.nix1785
-rw-r--r--pkgs/development/haskell-modules/non-hackage-packages.nix40
-rw-r--r--pkgs/development/libraries/ffmpeg-full/default.nix26
-rw-r--r--pkgs/development/libraries/ffmpeg-full/prefer-libdav1d-over-libaom.patch19
-rw-r--r--pkgs/development/libraries/grpc/default.nix6
-rw-r--r--pkgs/development/libraries/itk/default.nix13
-rw-r--r--pkgs/development/libraries/libftdi/default.nix8
-rw-r--r--pkgs/development/libraries/libosinfo/default.nix30
-rw-r--r--pkgs/development/libraries/libosinfo/osinfo-db-data-dir.patch2
-rw-r--r--pkgs/development/libraries/libxmlb/default.nix60
-rw-r--r--pkgs/development/libraries/libxmlb/installed-tests-path.patch24
-rw-r--r--pkgs/development/libraries/odpic/default.nix26
-rw-r--r--pkgs/development/libraries/oracle-instantclient/default.nix131
-rw-r--r--pkgs/development/libraries/simpleitk/default.nix17
-rw-r--r--pkgs/development/perl-modules/DBD-Oracle/default.nix12
-rw-r--r--pkgs/development/python-modules/cx_oracle/default.nix4
-rw-r--r--pkgs/development/python-modules/grpcio-tools/default.nix4
-rw-r--r--pkgs/development/python-modules/grpcio/default.nix4
-rw-r--r--pkgs/development/python-modules/pycurl/default.nix5
-rw-r--r--pkgs/development/python-modules/pydot_ng/default.nix24
-rw-r--r--pkgs/development/tools/analysis/flow/default.nix4
-rw-r--r--pkgs/development/tools/analysis/tflint/default.nix6
-rw-r--r--pkgs/development/tools/continuous-integration/gitlab-runner/default.nix8
-rw-r--r--pkgs/development/tools/coursier/default.nix13
-rw-r--r--pkgs/development/tools/fdroidserver/default.nix4
-rw-r--r--pkgs/development/tools/icestorm/default.nix12
-rw-r--r--pkgs/development/tools/misc/gengetopt/default.nix18
-rw-r--r--pkgs/development/tools/misc/mkcert/default.nix6
-rw-r--r--pkgs/development/tools/ocaml/dune/default.nix6
-rw-r--r--pkgs/development/tools/scalafmt/default.nix4
-rw-r--r--pkgs/development/tools/solarus-quest-editor/default.nix16
-rw-r--r--pkgs/development/tools/trellis/default.nix4
-rw-r--r--pkgs/games/solarus/default.nix16
-rw-r--r--pkgs/misc/emulators/dolphin-emu/default.nix33
-rw-r--r--pkgs/misc/emulators/dolphin-emu/master.nix44
-rw-r--r--pkgs/misc/scream-receivers/default.nix56
-rw-r--r--pkgs/misc/vim-plugins/overrides.nix4
-rw-r--r--pkgs/os-specific/linux/usbguard/default.nix25
-rw-r--r--pkgs/servers/monitoring/prometheus/alertmanager.nix4
-rw-r--r--pkgs/servers/monitoring/prometheus/default.nix4
-rw-r--r--pkgs/servers/monitoring/prometheus/mysqld-exporter.nix4
-rw-r--r--pkgs/servers/monitoring/prometheus/node-exporter.nix10
-rw-r--r--pkgs/servers/xmpp/ejabberd/default.nix10
-rw-r--r--pkgs/tools/admin/eksctl/default.nix6
-rw-r--r--pkgs/tools/graphics/scrot/default.nix29
-rw-r--r--pkgs/tools/misc/osinfo-db-tools/default.nix12
-rw-r--r--pkgs/tools/misc/starship/default.nix7
-rw-r--r--pkgs/tools/networking/httplz/cargo-lock.patch639
-rw-r--r--pkgs/tools/networking/httplz/default.nix6
-rw-r--r--pkgs/tools/nix/nixpkgs-fmt/default.nix21
-rw-r--r--pkgs/tools/security/clamav/default.nix4
-rw-r--r--pkgs/top-level/all-packages.nix18
-rw-r--r--pkgs/top-level/php-packages.nix7
-rw-r--r--pkgs/top-level/python-packages.nix2
66 files changed, 2087 insertions, 1440 deletions
diff --git a/pkgs/applications/graphics/avocode/default.nix b/pkgs/applications/graphics/avocode/default.nix
index 52fd3cebec26..552ca714476f 100644
--- a/pkgs/applications/graphics/avocode/default.nix
+++ b/pkgs/applications/graphics/avocode/default.nix
@@ -1,19 +1,20 @@
{ stdenv, makeDesktopItem, fetchurl, unzip
, gdk-pixbuf, glib, gtk3, atk, at-spi2-atk, pango, cairo, freetype, fontconfig, dbus, nss, nspr, alsaLib, cups, expat, udev, gnome3
-, xorg, mozjpeg, makeWrapper, wrapGAppsHook, hicolor-icon-theme, libuuid
+, xorg, mozjpeg, makeWrapper, wrapGAppsHook, hicolor-icon-theme, libuuid, at-spi2-core
}:
stdenv.mkDerivation rec {
name = "avocode-${version}";
- version = "3.9.0";
+ version = "3.9.2";
src = fetchurl {
url = "https://media.avocode.com/download/avocode-app/${version}/avocode-${version}-linux.zip";
- sha256 = "0fk62farnsxz59q82kxagibxmn9p9ckp6ix0wqg297gvasgad31q";
+ sha256 = "18yzw7bss1dkmmd8lxr9x8s46qmpnqci202g16zrp6j9jdj094d3";
};
libPath = stdenv.lib.makeLibraryPath (with xorg; [
stdenv.cc.cc.lib
+ at-spi2-core.out
gdk-pixbuf
glib
gtk3
diff --git a/pkgs/applications/misc/omegat.nix b/pkgs/applications/misc/omegat.nix
index 660b5db61058..411b318a3925 100644
--- a/pkgs/applications/misc/omegat.nix
+++ b/pkgs/applications/misc/omegat.nix
@@ -1,12 +1,12 @@
{ stdenv, fetchurl, unzip, jdk, makeWrapper}:
stdenv.mkDerivation rec {
- version = "4.1.5.2";
+ version = "4.3.0";
pname = "omegat";
src = fetchurl { # their zip has repeated files or something, so no fetchzip
- url = mirror://sourceforge/project/omegat/OmegaT%20-%20Latest/OmegaT%204.1.5%20update%202/OmegaT_4.1.5_02_Beta_Without_JRE.zip;
- sha256 = "1mdnsvjgsccpd5xwpqzgva5jjp8yd1akq9aqpild4v6k70lqql2b";
+ url = mirror://sourceforge/project/omegat/OmegaT%20-%20Standard/OmegaT%204.3.0/OmegaT_4.3.0_Without_JRE.zip;
+ sha256 = "0axz7r30p34z5hgvdglznc82g7yvm3g56dv5190jixskx6ba58rs";
};
buildInputs = [ unzip makeWrapper ];
diff --git a/pkgs/applications/networking/nextcloud-client/0001-Explicitly-copy-dbus-files-into-the-store-dir.patch b/pkgs/applications/networking/nextcloud-client/0001-Explicitly-copy-dbus-files-into-the-store-dir.patch
new file mode 100644
index 000000000000..67cabc820b7f
--- /dev/null
+++ b/pkgs/applications/networking/nextcloud-client/0001-Explicitly-copy-dbus-files-into-the-store-dir.patch
@@ -0,0 +1,25 @@
+From bbd366348d1f0e334d4604d04e293a046070e666 Mon Sep 17 00:00:00 2001
+From: Maximilian Bosch <maximilian@mbosch.me>
+Date: Fri, 23 Aug 2019 00:19:20 +0200
+Subject: [PATCH] Explicitly copy dbus files into the store dir
+
+---
+ shell_integration/libcloudproviders/CMakeLists.txt | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/shell_integration/libcloudproviders/CMakeLists.txt b/shell_integration/libcloudproviders/CMakeLists.txt
+index 1f35335..7f76951 100644
+--- a/shell_integration/libcloudproviders/CMakeLists.txt
++++ b/shell_integration/libcloudproviders/CMakeLists.txt
+@@ -19,7 +19,7 @@ MACRO(PKGCONFIG_GETVAR _package _var _output_variable)
+ ENDMACRO(PKGCONFIG_GETVAR _package _var _output_variable)
+
+ macro(dbus_add_activation_service _sources)
+- PKGCONFIG_GETVAR(dbus-1 session_bus_services_dir _install_dir)
++ set(_install_dir "${CMAKE_INSTALL_PREFIX}/etc/dbus-1/service")
+ foreach (_i ${_sources})
+ get_filename_component(_service_file ${_i} ABSOLUTE)
+ string(REGEX REPLACE "\\.service.*$" ".service" _output_file ${_i})
+--
+2.19.2
+
diff --git a/pkgs/applications/networking/nextcloud-client/default.nix b/pkgs/applications/networking/nextcloud-client/default.nix
index b9015e7a9e3a..19db1e140c9f 100644
--- a/pkgs/applications/networking/nextcloud-client/default.nix
+++ b/pkgs/applications/networking/nextcloud-client/default.nix
@@ -1,20 +1,24 @@
-{ stdenv, fetchgit, cmake, pkgconfig, qtbase, qtwebkit, qtkeychain, qttools, sqlite
-, inotify-tools, wrapQtAppsHook, openssl, pcre, qtwebengine, libsecret
+{ lib, mkDerivation, fetchgit, cmake, pkgconfig, qtbase, qtwebkit, qtkeychain, qttools, sqlite
+, inotify-tools, openssl, pcre, qtwebengine, libsecret
, libcloudproviders
}:
-stdenv.mkDerivation rec {
+mkDerivation rec {
name = "nextcloud-client-${version}";
- version = "2.5.2";
+ version = "2.5.3";
src = fetchgit {
url = "git://github.com/nextcloud/desktop.git";
rev = "refs/tags/v${version}";
- sha256 = "1brpxdgyy742dqw6cyyv2257d6ihwiqhbzfk2hb8zjgbi6p9lhsr";
+ sha256 = "0fbw56bfbyk3cqv94iqfsxjf01dwy1ysjz89dri7qccs65rnjswj";
fetchSubmodules = true;
};
- nativeBuildInputs = [ pkgconfig cmake wrapQtAppsHook ];
+ patches = [
+ ./0001-Explicitly-copy-dbus-files-into-the-store-dir.patch
+ ];
+
+ nativeBuildInputs = [ pkgconfig cmake ];
buildInputs = [ qtbase qtwebkit qtkeychain qttools qtwebengine sqlite openssl.out pcre inotify-tools libcloudproviders ];
@@ -32,7 +36,7 @@ stdenv.mkDerivation rec {
];
qtWrapperArgs = [
- ''--prefix LD_LIBRARY_PATH : ${stdenv.lib.makeLibraryPath [ libsecret ]}''
+ ''--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libsecret ]}''
];
postInstall = ''
@@ -40,7 +44,7 @@ stdenv.mkDerivation rec {
$out/share/applications/nextcloud.desktop
'';
- meta = with stdenv.lib; {
+ meta = with lib; {
description = "Nextcloud themed desktop client";
homepage = https://nextcloud.com;
license = licenses.gpl2;
diff --git a/pkgs/applications/networking/seafile-client/default.nix b/pkgs/applications/networking/seafile-client/default.nix
index 543afc696ea0..e93410d2669c 100644
--- a/pkgs/applications/networking/seafile-client/default.nix
+++ b/pkgs/applications/networking/seafile-client/default.nix
@@ -1,10 +1,10 @@
-{ stdenv, fetchFromGitHub, pkgconfig, cmake, qtbase, qttools
-, seafile-shared, ccnet, makeWrapper
+{ stdenv, mkDerivation, fetchFromGitHub, pkgconfig, cmake, qtbase, qttools
+, seafile-shared, ccnet
, withShibboleth ? true, qtwebengine }:
with stdenv.lib;
-stdenv.mkDerivation rec {
+mkDerivation rec {
version = "6.2.11";
name = "seafile-client-${version}";
@@ -15,17 +15,16 @@ stdenv.mkDerivation rec {
sha256 = "1b8jqmr2qd3bpb3sr4p5w2a76x5zlknkj922sxrvw1rdwqhkb2pj";
};
- nativeBuildInputs = [ pkgconfig cmake makeWrapper ];
+ nativeBuildInputs = [ pkgconfig cmake ];
buildInputs = [ qtbase qttools seafile-shared ]
++ optional withShibboleth qtwebengine;
cmakeFlags = [ "-DCMAKE_BUILD_TYPE=Release" ]
++ optional withShibboleth "-DBUILD_SHIBBOLETH_SUPPORT=ON";
- postInstall = ''
- wrapProgram $out/bin/seafile-applet \
- --suffix PATH : ${stdenv.lib.makeBinPath [ ccnet seafile-shared ]}
- '';
+ qtWrapperArgs = [
+ "--suffix PATH : ${stdenv.lib.makeBinPath [ ccnet seafile-shared ]}"
+ ];
meta = with stdenv.lib; {
homepage = https://github.com/haiwen/seafile-client;
diff --git a/pkgs/applications/science/logic/symbiyosys/default.nix b/pkgs/applications/science/logic/symbiyosys/default.nix
index 064ad204aff8..58674a4cacf2 100644
--- a/pkgs/applications/science/logic/symbiyosys/default.nix
+++ b/pkgs/applications/science/logic/symbiyosys/default.nix
@@ -31,7 +31,7 @@ stdenv.mkDerivation rec {
description = "Tooling for Yosys-based verification flows";
homepage = https://symbiyosys.readthedocs.io/;
license = stdenv.lib.licenses.isc;
- maintainers = with stdenv.lib.maintainers; [ thoughtpolice ];
- platforms = stdenv.lib.platforms.unix;
+ maintainers = with stdenv.lib.maintainers; [ thoughtpolice emily ];
+ platforms = stdenv.lib.platforms.all;
};
}
diff --git a/pkgs/data/fonts/font-awesome-5/default.nix b/pkgs/data/fonts/font-awesome-5/default.nix
index 451e3feecb6f..422258f84d11 100644
--- a/pkgs/data/fonts/font-awesome-5/default.nix
+++ b/pkgs/data/fonts/font-awesome-5/default.nix
@@ -39,7 +39,7 @@ in {
sha256 = "1j8i32dq6rrlv3kf2hnq81iqks06kczaxjks7nw3zyq1231winm9";
};
v5 = font-awesome {
- version = "5.10.1";
- sha256 = "1ckr7n0hlhvyl8nkhyjr7k6r07czpcfp0s2mnb48mvfgxd3j992p";
+ version = "5.10.2";
+ sha256 = "0bg28zn2lhrcyj7mbavphkvw3hrbnjsnn84305ax93nj3qd0d4hx";
};
}
diff --git a/pkgs/data/misc/osinfo-db/default.nix b/pkgs/data/misc/osinfo-db/default.nix
index 39cdd29c94be..b41c4a4c5aa9 100644
--- a/pkgs/data/misc/osinfo-db/default.nix
+++ b/pkgs/data/misc/osinfo-db/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "osinfo-db";
- version = "20190726";
+ version = "20190805";
src = fetchurl {
url = "https://releases.pagure.org/libosinfo/${pname}-${version}.tar.xz";
- sha256 = "0kcdq8g324a368bqvki718ms5kdcc3dzfmpgzyxwl0mkxbmhmirr";
+ sha256 = "1la80kmh58nrra8aa4grv31gc7xbqbybl8q1m4yv0byb11slg93x";
};
nativeBuildInputs = [ osinfo-db-tools intltool libxml2 ];
diff --git a/pkgs/development/compilers/nextpnr/default.nix b/pkgs/development/compilers/nextpnr/default.nix
index d32d3fa67f1d..c1e01ef77828 100644
--- a/pkgs/development/compilers/nextpnr/default.nix
+++ b/pkgs/development/compilers/nextpnr/default.nix
@@ -2,58 +2,44 @@
, boost, python3, eigen
, icestorm, trellis
-# TODO(thoughtpolice) Currently the GUI build seems broken at runtime on my
-# laptop (and over a remote X server on my server...), so mark it broken for
-# now, with intent to fix later.
-, enableGui ? false
-, qtbase, wrapQtAppsHook
+, enableGui ? true
+, wrapQtAppsHook
+, qtbase
}:
let
boostPython = boost.override { python = python3; enablePython = true; };
-
- # This is a massive hack. For now, Trellis doesn't really support
- # installation through an already-built package; you have to build it once to
- # get the tools, then reuse the build directory to build nextpnr -- the
- # 'install' phase doesn't install everything it needs. This will be fixed in
- # the future but for now we can do this horrific thing.
- trellisRoot = trellis.overrideAttrs (_: {
- installPhase = ''
- mkdir -p $out
- cp *.so ..
- cd ../../.. && cp -R trellis database $out/
- '';
- });
in
-stdenv.mkDerivation rec {
+with stdenv; mkDerivation rec {
pname = "nextpnr";
- version = "2019.08.10";
+ version = "2019.08.21";
src = fetchFromGitHub {
owner = "yosyshq";
repo = "nextpnr";
- rev = "3f26cf50767143e48d29ae691b2a0052c359eb15";
- sha256 = "1gv84svw56ass9idbzh17h3yxkk9ydr40ijf9w72gf72rbixszdr";
+ rev = "c192ba261d77ad7f0a744fb90b01e4a5b63938c4";
+ sha256 = "0g2ar1z89b31qw5vgqj2rrcv9rzncs94184dgcsrz19p866654mf";
};
nativeBuildInputs
= [ cmake ]
- ++ (stdenv.lib.optional enableGui wrapQtAppsHook);
+ ++ (lib.optional enableGui wrapQtAppsHook);
buildInputs
= [ boostPython python3 eigen ]
- ++ (stdenv.lib.optional enableGui qtbase);
+ ++ (lib.optional enableGui qtbase);
enableParallelBuilding = true;
cmakeFlags =
[ "-DARCH=generic;ice40;ecp5"
"-DICEBOX_ROOT=${icestorm}/share/icebox"
- "-DTRELLIS_ROOT=${trellisRoot}/trellis"
+ "-DTRELLIS_ROOT=${trellis}/share/trellis"
+ "-DPYTRELLIS_LIBDIR=${trellis}/lib/trellis"
"-DUSE_OPENMP=ON"
# warning: high RAM usage
"-DSERIALIZE_CHIPDB=OFF"
# use PyPy for icestorm if enabled
"-DPYTHON_EXECUTABLE=${icestorm.pythonInterp}"
- ] ++ (stdenv.lib.optional (!enableGui) "-DBUILD_GUI=OFF");
+ ] ++ (lib.optional (!enableGui) "-DBUILD_GUI=OFF");
# Fix the version number. This is a bit stupid (and fragile) in practice
# but works ok. We should probably make this overrideable upstream.
@@ -62,13 +48,18 @@ stdenv.mkDerivation rec {
--replace 'git log -1 --format=%h' 'echo ${substring 0 11 src.rev}'
'';
- meta = with stdenv.lib; {
+
+ postFixup = lib.optionalString enableGui ''
+ wrapQtApp $out/bin/nextpnr-generic
+ wrapQtApp $out/bin/nextpnr-ice40
+ wrapQtApp $out/bin/nextpnr-ecp5
+ '';
+
+ meta = with lib; {
description = "Place and route tool for FPGAs";
homepage = https://github.com/yosyshq/nextpnr;
license = licenses.isc;
- platforms = platforms.linux;
- maintainers = with maintainers; [ thoughtpolice ];
-
- broken = enableGui;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ thoughtpolice emily ];
};
}
diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix
index aaa8628efbe9..467d4e3b49eb 100644
--- a/pkgs/development/compilers/yosys/default.nix
+++ b/pkgs/development/compilers/yosys/default.nix
@@ -8,14 +8,14 @@ with builtins;
stdenv.mkDerivation rec {
pname = "yosys";
- version = "2019.08.13";
+ version = "2019.08.21";
srcs = [
(fetchFromGitHub {
owner = "yosyshq";
repo = "yosys";
- rev = "19d6b8846f55b4c7be705619f753bec86deadac8";
- sha256 = "185sbkxajx3k9j03n0cxq2qvzwfwdbcxp19h8vnk7ghd5y9gp602";
+ rev = "fe1b2337fd7950e1d563be5b8ccbaa81688261e4";
+ sha256 = "0z7sngc2z081yyhzh8c2kchg48sp2333hn1wa94q5vsgnyzlqrdw";
name = "yosys";
})
@@ -40,10 +40,14 @@ stdenv.mkDerivation rec {
patchPhase = ''
substituteInPlace ../yosys-abc/Makefile \
- --replace 'CC := gcc' ""
+ --replace 'CC := gcc' "" \
+ --replace 'CXX := g++' ""
substituteInPlace ./Makefile \
--replace 'CXX = clang' "" \
- --replace 'ABCMKARGS = CC="$(CXX)"' 'ABCMKARGS =' \
+ --replace 'LD = clang++' 'LD = $(CXX)' \
+ --replace 'CXX = gcc' "" \
+ --replace 'LD = gcc' 'LD = $(CXX)' \
+ --replace 'ABCMKARGS = CC="$(CXX)" CXX="$(CXX)"' 'ABCMKARGS =' \
--replace 'echo UNKNOWN' 'echo ${substring 0 10 (elemAt srcs 0).rev}'
'';
@@ -71,7 +75,7 @@ stdenv.mkDerivation rec {
'';
homepage = http://www.clifford.at/yosys/;
license = stdenv.lib.licenses.isc;
- maintainers = with stdenv.lib.maintainers; [ shell thoughtpolice ];
- platforms = stdenv.lib.platforms.unix;
+ maintainers = with stdenv.lib.maintainers; [ shell thoughtpolice emily ];
+ platforms = stdenv.lib.platforms.all;
};
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix b/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
index dc3071e5fab3..417fc0b3a441 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix
@@ -41,30 +41,9 @@ self: super: {
unix = null;
xhtml = null;
- # Use the current git version of cabal-install.
- cabal-install = overrideCabal (super.cabal-install.overrideScope (self: super: { Cabal = self.Cabal-git; })) (drv: {
- src = pkgs.fetchFromGitHub {
- owner = "haskell";
- repo = "cabal";
- rev = "e98f6c26fa301b49921c2df67934bf9b0a4f3386";
- sha256 = "15nrkvckq2rw31z7grgbsg5f0gxfc09afsrqdfi4n471k630xd2i";
- };
- version = "20190510-git";
- editedCabalFile = null;
- postUnpack = "sourceRoot+=/cabal-install";
- jailbreak = true;
- });
- Cabal-git = overrideCabal super.Cabal_2_4_1_0 (drv: {
- src = pkgs.fetchFromGitHub {
- owner = "haskell";
- repo = "cabal";
- rev = "e98f6c26fa301b49921c2df67934bf9b0a4f3386";
- sha256 = "15nrkvckq2rw31z7grgbsg5f0gxfc09afsrqdfi4n471k630xd2i";
- };
- version = "20190510-git";
- editedCabalFile = null;
- postUnpack = "sourceRoot+=/Cabal";
- });
+ # Use the cabal-install 3.0.0.0 beta release.
+ cabal-install = self.cabal-install-3;
+ Cabal_3_0_0_0 = null; # Our compiler has this already.
# Ignore overly restrictive upper version bounds.
async = doJailbreak super.async;
diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix.yaml
index 52be6ef65211..b279652a5d5f 100644
--- a/