" Vim filetype plugin file " Language: SystemVerilog " Maintainer: kocha " Last Change: 07-May-2021 if exists("b:did_ftplugin") finish endif " Behaves just like Verilog runtime! ftplugin/verilog.vim let s:cpo_save = &cpo set cpo&vim " Add SystemVerilog keywords for matchit plugin. if exists("loaded_matchit") let b:match_words = \ '\:\,' . \ '\\|\\|\:\,' . \ '\:\,' . \ '\:`\@,' . \ '\:\,' . \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\\|\\|\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\,' . \ '\:\' endif let &cpo = s:cpo_save unlet s:cpo_save